氟碳类(半导体材料行业深度研究:晶圆厂迎扩产潮,大国利剑国产替代前景)

Posted

篇首语:人有恒心万事成,人无恒心万事崩。本文由小常识网(cha138.com)小编为大家整理,主要介绍了氟碳类(半导体材料行业深度研究:晶圆厂迎扩产潮,大国利剑国产替代前景)相关的知识,希望对你有一定的参考价值。

氟碳类(半导体材料行业深度研究:晶圆厂迎扩产潮,大国利剑国产替代前景)

(报告出品方/作者:五矿证券,王少南)

1、半导体材料:晶圆制造+封装上游重要支柱

1.1 材料种类丰富多样,广泛应用于晶圆制造和封装工艺

半导体材料是制作晶体管、集成电路、电力电子器件、光电子器件的重要材料。按照工艺的 不同,可分为晶圆制造材料和封装材料。其中,晶圆制造材料主要包括硅片、特种气体、掩 膜版、光刻胶、光刻胶配套材料、(通用)湿电子化学品、靶材、CMP 抛光材料等。封装材 料主要有封装基板、引线框架、键合丝、包封材料、陶瓷基板、芯片粘接材料等。

按照代际,可分为第一代、第二代和第三代。1)第一代半导体材料主要是指硅(Si)、锗元 素(Ge)半导体材料。主要用于制造集成电路,并广泛应用于手机、电脑、平板、可穿戴、 电视、航空航天以及新能源车、光伏等产业。2)第二代半导体材料主要是指化合物半导体材 料,如砷化镓(GaAs)、锑化铟(InSb);三元化合物半导体,如 GaAsAl、GaAsP;还有一 些固溶体半导体,如 Ge-Si、GaAs-GaP;玻璃半导体(又称非晶态半导体),如非晶硅、玻 璃态氧化物半导体;有机半导体,如酞菁、酞菁铜、聚丙烯腈等。主要用于制作高速、高频、 大功率以及发光电子器件,是制作高性能微波、毫米波器件及发光器件的优良材料,广泛应 用于卫星通讯、移动通讯、光通信和 GPS 导航等领域。3)第三代半导体材料主要以碳化硅 (SiC)、氮化镓(GaN)、氧化锌(ZnO)、金刚石、氮化铝(AlN)为代表的宽禁带(Eg>2. 3eV) 半导体材料。主要应用于半导体照明、电力电子器件、激光器和探测器等。相比于第一代、 第二代半导体材料,第三代半导体材料禁带宽度更宽,击穿电场更高、热导率更高、电子饱 和速率更高、抗辐射能力更强,因而更适合于制作高温、高频、抗辐射及大功率器件,通常 又被称为宽禁带半导体材料,也称为高温半导体材料。整体而言,全球半导体依然以硅材料 为主,目前 95%以上的半导体器件和 99%以上的集成电路都是由硅材料制作。

晶圆制造材料中,硅片为晶圆制造基底材料;光刻胶用于图形转移;电子特气用于氧化、还 原、除杂;抛光材料用于实现平坦化。封装材料中,封装基板与引线框架起到保护芯片、支 撑芯片、连接芯片与 PCB 的作用,封装基板还具有散热功能;键合丝则用于连接芯片和引线 框架。


根据 SEMI 数据,2020 年全球晶圆制造材料中,硅片占比最高,为 35%;电子气体排名第 2,占比 13%;掩膜版排名第 3,占比 12%,光刻胶占比 6%;光刻胶配套材料占比 8% ;湿 电子化学品占比 7%;CMP 抛光材料占比 6%;靶材占比 2%。 2019 年全球封装材料中,封装基板占比最高,为 48%;引线框架、键合丝、包封材料、陶瓷基板、芯片粘接材料分列第 2-6 名,占比分别为 15%、15%、10%、6%和 3%。

1.2 行业规模创历史新高,中国已成为全球最大市场

根据 SEMI 数据,2006-2021 年全球半导体材料市场规模呈现波动并整体向上的态势,在 2017 年后,受益于消费电子、5G、汽车电子、IoT 等需求拉动,行业规模呈上升趋势,2021 年创历史新高,达到 643 亿美元,预计 2022 年将达到 698 亿美元,同比增长 8.6% ,预计 2023 年将超过 700 亿美元。 分类型看,半导体材料主要分为晶圆制造材料和封装材料,根据 SEMI 数据,2006-202 1 年, 晶圆制造材料市场规模稳步提升,从 217 亿美元提升至 404 亿美元,占比从 58.3% 提升至 62.8%;封装材料市场规模先升后降,从 2006 年的 155.4 亿美元提升至 2011 年的 236. 2 亿 美元高点之后,到 2020 年下降至 204 亿美元,2021 年又上升至 239 亿美元,占比 37.2%。 预计 2022 年晶圆制造材料市场规模将达到 451 亿美元,同比增长 11.5%,封装材料将达到 248 亿美元,同比增长 3.9%。

2021 年中国半导体材料市场规模 266.4 亿美元,占比 41.4%,为全球半导体材料市场规模 最高的国家。我们认为,在中美贸易战背景下,半导体国产替代已经成为产业共识,半导体 材料作为晶圆制造及封装工艺的关键上游环节,国产厂商有望充分受益于中国晶圆厂扩产以 及自主可控的红利,景气度持续提升。


2、晶圆制造材料:行业地位举足轻重,市场规模占比超 60%

2.1 硅片:全球产能供不应求,沪硅产业 12 英寸 14nm 实现量产

硅基半导体材料是目前产量最大、应用最广的半导体材料,通常将 95-99%纯度的硅称为工 业硅。沙子、矿石中的二氧化硅经过纯化,可制成纯度 98%以上的硅;高纯度硅经过进一步 提纯变为纯度达 99.9999999%至 99.999999999%(9-11 个 9)的超纯多晶硅;超纯多晶硅 在石英坩埚中熔化,并掺入硼(P)、磷(B)等元素改变其导电能力,放入籽晶确定晶向, 经过单晶生长,便生长出具有特定电性功能的单晶硅锭。单晶硅的制备方法通常有直拉法(CZ) 和区熔法(FZ),直拉法硅片主要用在逻辑、存储芯片中,市占率约 95%,区熔法硅片主要 用在部分功率芯片中,市占率约 4%。熔体的温度、提拉速度和籽晶/石英坩埚的旋转速度决 定了单晶硅锭的尺寸和晶体质量,掺杂的硼(P)、磷(B)等杂质元素浓度决定了单晶硅锭 的电特性。单晶硅锭制备好后,再经过切段、滚磨、切片、倒角、抛光、激光刻、包装后, 便成为硅片。根据纯度不同,分为半导体硅片和光伏硅片,半导体硅片要求硅含量为 9N (99.9999999%)-11N(99.999999999%),而光伏用硅片一般在 4N-6N 之间即可,下游应 用主要包括消费电子、通信、汽车、航空航天、医疗、太阳能等领域。

硅片制备好之后,再经过一列热处理、光刻、刻蚀、薄膜沉积、清洗、CMP、测试等环节, 便可成功制得硅晶圆,具体分为几部分: 1)晶圆:制作半导体集成电路的核心原料板; 2)Die:晶圆上有很多小方块,每一个正方形是一个集成电路芯片; 3)划线:这些芯片之间实际上有间隙,这个间距叫做划线,划线的目的是在晶圆加工后将每 个芯片切割出来并组装成一个芯片; 4)平区:引入平区是为了识别晶圆结构,并作为晶圆加工的参考线。由于晶圆片的结构太小, 肉眼无法看到,所以晶圆片的方向就是根据这个平面区域来确定的; 5)切口:带有切口的晶圆最近已经取代了平面区域,因为切口晶圆比平区晶圆效率更高,可 以生产更多的芯片。


半导体硅片通常可以按照尺寸、工艺两种方式进行分类。按照尺寸分类,半导体硅片的尺寸 (以直径计算)主要包括 23mm、25mm、28mm、50mm(2 英寸)、75mm(3 英寸)、100mm (4 英寸)、125mm(5 英寸)、150mm(6 英寸)、200mm(8 英寸)与 300mm(12 英寸) 等规格。自 1960 年生产出 23mm 的硅片之后,硅片尺寸就越来越大,到 2002 年已经可以 量产 300mm(12 英寸)硅片,厚度则达到了历史新高 775μm。

当硅片尺寸越大,单个硅片上的芯片数量就越多,从而能够提高生产效率、降低生产成本。 300mm 硅片是200mm 硅片面积的2.25倍,生产芯片数量方面,根据Silicon Crystal Structure and Growth 数据,以 1.5cm×1.5cm 的芯片为例,300mm 硅片芯片数量 232 颗,200mm 硅片芯片数量 88 颗,300mm 硅片是 200mm 硅片芯片数量的 2.64 倍。

根据应用领域的不同,越先进的工艺制程往往使用更大尺寸的硅片生产。因此,在摩尔定律 的驱动下,工艺制程越先进,生产用的半导体硅片尺寸就越大。目前全球半导体硅片以 12 英 寸为主,根据 SEMI 数据,2020 年全球硅片 12 英寸占比 69%,8 英寸占比 24%,6 英寸及 以下占比 7%。未来随着新增 12 英寸晶圆厂不断投产,未来较长的时间内,12 英寸仍将是 半导体硅片的主流品种,小尺寸硅片将逐渐被淘汰,但是 8 英寸短期仍不会被 12 英寸替代。 目前量产硅片止步 300mm,而 450mm 硅片迟迟未商用量产,主要原因是制备 450m m 硅片 需要大幅增加设备及制造成本,但是 SEMI 曾预测每个 450mm 晶圆厂单位面积芯片成本只 下降 8%,此时晶圆尺寸不再是降低成本的主要途径,因此厂商难以有动力投入 450m m 量 产。


根据头豹研究院数据,12 英寸对应 3-90nm 制程,产品包括手机 SoC、CPU、GPU、存储、 通信、FPGA、MCU、WiFi/蓝牙等;8 英寸对应 90nm-0.25μm 制程,产品包括汽车 M CU、 射频、指纹识别、电源管理、功率、LED 驱动等;6 英寸对应 0.35μm -1.2μm 制程,产品包 括 MOSFET、IGBT、MEMS 等。

按照制造工艺分类,半导体硅片分为抛光片、外延片以及 SOI 硅片。单晶硅锭经过切割、研 磨和抛光处理后,便得到抛光片。抛光片本身可直接用于制作半导体器件,广泛应用于存储 芯片与功率器件等,此外也可作为外延片、SOI 硅片的衬底材料。 外延是通过 CVD 的方式在抛光面上生长一层或多层掺杂类型、电阻率、厚度和晶格结构都 符合特定器件要求的新硅单晶层。因此外延片是抛光片经过外延生长形成的,外延技术可以 减少硅片中因单晶生长产生的缺陷,具有更低的缺陷密度、含碳量和含氧量,能够改善沟道 漏电现象,提高 IC 可靠性,被广泛应用于制作通用处理器芯片、图形处理器芯片。如果生长 一层高电阻率的外延层,还可以提高器件的击穿电压,用于制作二极管、IGBT等功率器件, 广泛应用于汽车电子、工业用电子领域。

市场规模方面,根据 SEMI 数据,全球半导体硅片(不含 SOI 硅片)市场规模在经历了 2 015- 2016 年低谷之后,开始稳步上升,到 2021 年已达到 126.2 亿美元。受益于中国大陆晶圆厂 扩产的拉动,中国大陆半导体硅片(不含 SOI 硅片)市场规模 2012 年为 5 亿美元,2017 开 始迅速增长,2021 年已达到为 16.6 亿美元。

SOI 硅片方面,由于应用场景规模较小,整体行业规模小于抛光片和外延片,根据 SEMI 及 Research and Markets 数据,2013 年全球市场规模为 4 亿美元,2021 年为 13.7 亿美元, 预计到 2025 年将达到 22 亿美元。中国大陆 SOI 硅片市场规模 2016 年为 0.02 亿美元,2018 年增长至 0.11 亿美元。


根据 Soitec 数据,随着通信技术从 3G 向 4G、5G 升级过程中,单部智能手机 SO I 硅片需 求面积亦随之增加,3G 时为 2mm2,4G LTE-A 为 20mm2,5G sub-6GHz 为 52mm2,5G sub-6GHz & mmW 为 130 mm2。 在射频前端模组领域,在 4G/5G(sub-6G)中,RF-SOI 用于低噪声放大器、开关以及天线 调谐器等,FD-SOI 用于追踪器;在毫米波中,RF-SOI 用于功放、低噪声放大器、开关以及 移相器,FD-SOI 可用于移相器、SoC 等;而在 WiFi 和 UWB 中,RF-SOI 用于功放、低噪 声放大器以及开关,FD-SOI 用于移相器、SoC 等。

沪硅产业是中国大陆规模最大的半导体硅片制造企业之一,也是中国大陆率先实现 300mm 半导体硅片规模化生产和销售的企业,产品涵盖 300mm 抛光片及外延片、200mm 及以下抛 光片、外延片以及 200mm 及以下的 SOI 硅片,率先打破了中国 300mm 半导体硅片国产化 率几乎为 0%的局面。此外,中环股份产品涵盖 4-12 英寸化腐片、抛光片、外延片,立昂微 主要产品包括 6-12 英寸半导体硅抛光片和硅外延片。中国台湾厂商合晶科技主要生产 200mm 及以下半导体硅片(含 SOI 硅片)。

全球各个国家/地区半导体晶圆产能方面,根据 IC Insights 数据,2020 年 12 月,全球 8 英 寸等效月产能为 2081.4 万片/ 月,中国台湾/ 韩国/ 日本分列 前 3 名,产 能 分 别 为 444.8/425.3/328.1 万片/月,市占率分别为 21.4%/20.4%/15.8%。根据 Knometa Research 数据,2021 年 12 月,全球 8 英寸等效月产能为 2160 万片/月,韩国/中国台湾/中国大陆分 列前 3 名,产能分别为 496.8/453.6/345.6 万片/月,市占率分别为 23%/21%/16%,中国大 陆厂商积极扩产,到 2021 年底产能和市占率超过日本上升至第 3 位。


不同工艺制程分国家/地区来看,根据 IC Insights 数据,2020 年 12 月,全球 8 英寸等效月 产能中: 10nm 以下产能为 147 万片/月,中国台湾占比 62.8%,韩国占比 37.2%; 10-20nm 产能为 801 万 片/ 月 , 韩 国/ 日本 / 中 国 大 陆 分列 前 3 名 ,占 比 分 别 为 29.3%/23.6%/14.8%; 20-40nm 产能为 231 万 片/ 月 , 中 国台 湾/ 韩 国/ 北 美 分列 前 3 名 ,占 比 分 别 为 29.5%/27.3%/17.0%; 40nm-0.18μm 产能为 422 万片/月,中国台湾/中国大陆/日本分列前 3 名,占比分别为 29.4%/15.6%/13.5%; 0.18μm 及以上产能为 480 万片/月,中国大陆/日本/中国台湾分列前 3 名,占比分别为 20.5%/17.1%/16.8%。 不同国家/地区分工艺制程来看,根据 IC Insights 数据,2020 年 12 月,全球 8 英寸等效月 产能中,整体而言,10nm 以下占比 7.1%,10-20nm 占比 38.5%,20-40nm 占比 11.1%, 40nm-0.18μm 占比 20.3%,0.18μm 及以上占比 23.1%。

不同国家/地区来看: 中国台湾排名前 3 的工艺制程分别为 40nm-0.18μm/10nm 以下/10-20nm 和 0.18μm 及以上, 占比分别为 28%/21%/18%; 韩国排名前3的工艺制程分别为10-20nm/10nm 以下/20-40nm,占比分别为57%/13%/13%; 日本排名前 3 的工艺制程分别为 10-20nm/0.18μm 及以上/40nm-0.18μm,占 比分别为 57%/26%/17%; 北美排名前 3 的工艺制程分别为 10-20nm/0.18μm 及以上/40nm-0.18μm,占 比分别为 36%/29%/20%; 中国大陆排名前 3 的工艺制程分别为 10-20nm/0.18μm 及以上/40nm-0.18μm,占比分别为 39%/30%/20%; 欧洲排名前 3 的工艺制程分别为 0.18μm 及以上/40nm-0.18μm/20-40nm,占 比分别为 49%/26%/13%。

全球各大半导体厂商晶圆产能方面,根据 Knometa Research 数据,2020 年 12 月,全球前 5 大厂商 8 英寸等效月产能为 1110.4 万片/月,三星/台积电/美光/SK 海力士/铠侠排名 1-5 名,产能分别为 336.4/264.7/193.1/188.1/128.3 万 片 / 月 , 市 占 率 分 别 为 17%/13%/10%/10%/7%。2021 年 12 月,全球前 5 大厂商 8 英寸等效月产能为 1221. 7 万片 / 月 ,三星 / 台积电 / 美 光 /SK 海力士 / 铠 侠 排 名 1-5 名 , 产 能 分 别 为 405.0/280.3/205.4/198.2/132.8 万片/月,市占率分别为 19%/13%/10%/9%/6%,前 5 大厂商 市占率达到 57%,较 2020 年 12 月增加 1pct,集中度进一步提升。

分硅片尺寸来看,根据 IC Insights 数据,2020 年 12 月,300mm 硅片产能排名中,三星/台 积电/美光分列前 3 名,市占率分别为 21%/15%/14%;200mm 硅片产能排名中,台积电/意 法半导体/联电分列前 3 名,市占率分别为 10%/6%/6%;150mm 及以下硅片产能排名中, 华润微电子/士兰微/新唐科技分列前 3 名,市占率分别为 9%/8%/8%。中国台湾、韩国、美 国、日本、欧洲厂商主要在 200mm 和 300mm 硅片领域具备较大优势,而中国大陆厂商在 150mm 及以下领域有所领先,与先进国家/地区厂商相比仍有较大提升空间。

2.2 电子气体:国产部分气体通过 ASML 认证,产品逐步迈向高端化

工业中,把常温常压下呈气态的产品统称为工业气体产品,工业气体是现代工业的基础原材 料,在国民经济中有着重要地位和作用,广泛应用于冶金、化工、医疗、食品、机械、军工 等传统行业,以及半导体、液晶面板、LED、光伏、新能源、生物医药、新材料等新兴产业, 对国民经济的发展有着战略性的支持作用,因此被喻为“工业的血液”。 工业气体产品种类繁多,分类方式多样。按化学性质不同可以分为剧毒气体(如氯气、氨气 等)、易燃气体(如氢气、乙炔等)、不燃气体(如氧气、氮气和氩气等)。按组分不同可以分 为工业纯气和工业混合气。

按制备方式和应用领域的不同,工业气体可分为大宗气体和特种气体。大宗气体又分为空分 气体与合成气体,此类气体产销量较大,但一般对气体纯度要求不高,主要用于冶金、化工、 机械、电力、造船等传统领域;特种气体指在部分特定领域应用的气体产品,根据纯度和用 途又可以细分为标准气体、高纯气体和电子特种气体。特种气体虽然产销量小,但是种类繁 多,对气体纯度、杂质含量等指标有较高要求,属于高技术、高附加值的产品,下游主要应 用于集成电路、液晶面板、LED、光伏、生物医药、新能源等新兴产业。从整个工业气体市 场的产销量来看,空分气体应用领域最广泛、使用量最大,占工业气体的约 90%,其余的部 分为合成气体和特种气体。

1)工业气体: 工业气体产业链方面,上游包括原料及设备,空分气体的原料主要为空气或者工业废气,成 本较低,合成气体的原料主要为化学产品,成本较高;特种气体的原料主要为外购的工业气 体和化学原材料,成本高。设备分为气体生产设备、气体储存设备和气体运输设备,气体生 产设备主要有空分设备和气体提纯设备,气体储存设备主要有钢瓶和储槽,气体运输设备主 要有用液化气槽车和管道。中游为大宗气体和特种气体的制造、运输和储存,下游应用领域 包括传统行业与新兴行业。


根据供应模式的不同,工业气体行业的经营模式可以分为零售供气和现场制气。零售供气又 分为瓶装运输和储槽运输。对于瓶装运输,特种气体由于单位价值较高,基本无运输半径限 制,大宗气体运输半径在 50km 左右,使用量较小,主要用于医疗、科研领域,比如医院、 公共卫生、技术研发等;对于储槽运输,运输半径亦有所扩大,一般为 200km 左右,使用量 适中,主要用于制造业,比如汽车、造船、食品加工、半导体、太阳能、平板显示等。现场 制气是在客户端建造现场制气装置,并通过管网供应气体,使用量较大,主要用于工业领域, 比如钢铁、炼油、石化行业等。

受益于工业化进程推动,全球及中国工业气体市场规模稳步提升。根据亿渡数据,全球工业 气体市场规模 2021 年为 9432 亿元,预计 2022 年将达到 10238 亿元,2026 年将达到 13299 亿元。中国工业气体行业在 20 世纪 80 年代末期已初具规模,到 90 年代后期开始快速发展, 2021 年为 1798 亿元,预计 2022 年将达到 1964 亿元,2026 年将达到 2842 亿元。

市场格局方面,根据华经产业研究院和亿渡数据,2021 年全球工业气体厂商中,林德/液化 空气/空气化工/大阳日酸排名前 4,市占率分别为 21%/20%/7%/5%,CR4 合计占比 53%, 此外客户自建占比 20%,其他占比 27%。2020 年中国工业气体市场中,林德/液化空气/气体 动 力 / 空气化工 / 杭氧股份 / 大阳日酸 排名前 6 , 市 占 率 分 别 为 21.9%/20.7%/10.1%/10.1%/6.3%/3%,CR6 合计占比 72%,其他占比 28%。

全球工业气体市场目前已经形成寡头垄断的局面,工业气体市场在欧美日步入后工业化时代 后逐步兴起,经过多年以来的发展及并购整合,目前已形成德国林德和法国液化空气为第一 梯队,美国空气化工、日本大阳日酸和德国梅塞尔为第二梯队的全球市场格局。全球工业气 体 CR4 近年来基本维持在 50%以上。


工业气体的经营模式可分为自建装置供气与外包供气,其中外包供气又分为液态气体、管道 气体和瓶装气体三种供气模式。传统上大型钢铁冶炼、化工企业选择自行建造空气分离装置, 从而满足自身气体需求。但是由于空分设备的实际产量与企业用气需求存在一定差异,再加 之供气不稳定的影响,导致企业设备综合利用率较低,当期无法消耗的产品多被放空,造成 资源浪费现象突出;对于数目众多、用气规模较小的中小型工业用户而言,目前则主要改为 采用外包给专业气体生产企业供气这种更经济的模式。发达国家供气外包比例为 80% ,自建 比例为 20%,中国 2021 年外包比例为 65%,与发达国家相比外包占比仍有待提升。

2)大宗气体: 大宗气体方面,根据亿渡数据,中国大宗气体市场规模 2021 年为 1456 亿元,预计 2022 年 将达到 1555 亿元,2026 年将达到 2034 亿元。组成结构上,空分气体占绝大部分,2021 年 占比 92.8%,合成气体占 7.2%。

根据亿渡数据,中国大宗气体企业中,气体动力占比 12.3%,杭氧股份占比 4.1%,和远气体 和凯美特气分别占比 0.6%和 0.4%,CR4 合计占比 17.4%。各类气体市场分布来看,氧气和 氮气占比最大,其中氧气占 45%,氮气占 36%,氩气占 2%,氢气占 2.3%,二氧化碳占 1.6%。 氧气下游应用中,最主要的应用为钢铁冶炼/机械制造/化工,占比分别为 57%/18%/12% ,合 计占比 87%。氮气下游相对均衡,化工/采矿/电子/食品医药/钢铁冶金/机械制造占比分别为 23%/18%/16%/15%/10%/9%。

3)特种气体: 特种气体是随着电子行业的兴起而在工业气体门类下逐步细分发展起来的新兴产业,广泛应 用于集成电路、显示面板、光伏能源、光纤光缆、新能源汽车、航空航天、环保、医疗等领 域。特种气体的主要生产工序包括气体合成、气体纯化、气体混配、气瓶处理、气体充装、 气体分析检测。


全球特种气体市场规模受益下游需求拉动将实现稳步增长。根据 Grand View Research 和 Research and Markets 数据,全球特种气体 2021 年为 100.7 亿美元,预计 2028 年将达到 188.2 亿美元。根据亿渡数据,中国特种气体 2021 年市场规模为 342 亿元,其中电子特气 216 亿元,占比 63.2%;其他特种气体 126 亿元,占比 36.8%。预计 2022 年将达到 409 亿 元,其中电子特气 264 亿元,占比 64.5%;其他特种气体 145 亿元,占比 35.5%。预计 2025 年将达到 691 亿元,其中电子特气 435 亿元,占比 63.0%;其他特种气体 256 亿元,占比 37.0%。

4)电子气体: 电子气体分为电子特种气体和电子大宗气体,广义的“电子气体”指可用于电子工业生产中 使用的气体,是最重要原材料之一,狭义的“电子气体”特指可用于电子半导体领域生产的 特种气体。 电子特气是工业气体中附加值较高的品种,与传统工业气体的区别在于纯度更高(如高纯气 体)或者具有特殊用途(如参与化学反应),主要用于集成电路、显示面板、LED 照明、太阳 能电池等领域,涉及的工艺主要有硅片制造、氧化、光刻、刻蚀、CVD、离子注入、掺杂、 成膜、外延片制造等。

根据 TECHCET 数据,2020 年全球电子气体市场格局主要被美国空气化工、德国林德(2018 年与美国普莱克斯合并)、法国液化空气以及日本大阳日酸占据,合计占比 77%,其中林德 占比 28%、液化空气占比 25%、大阳日酸占比 13%、空气化工占比 11%。根据中国化工信 息中心数据,2019 年中国电子气体市场同样被空气化工、林德、液化空气以及大阳日酸主导, 其中空气化工占比 25%、林德占比 23%、液化空气占比 23%、大阳日酸占比 17%,合计占 比 88%,国内气体公司合计占比 12%。


根据 TECHCET 数据,2021 年全球电子特气市场规模约 45.4 亿美元,预计 2022 年将达到 50 亿美元,预计 2025 年将达到 60.2 亿美元。按照下游应用来看,根据亿渡数据,集成电路 是电子特气下游最大应用,占比 43%,液晶显示占比 21%,LED 占比 13%,光伏占比 6%, 其他领域占比 17%。 根据 SEMI 数据,2021 年中国电子特气市场规模约 196 亿元,预计 2022 年将达到 220. 8 亿 元,预计 2025 年将达到 316.6 亿元。按照下游应用来看,根据亿渡数据,集成电路占比 43%, 显示面板占比 21%,LED 占比 13%,光伏占比 6%,合计占比 83%。在半导体中,刻蚀用 气占比 36%,掺杂用气占比 34%,外延沉积+光刻+其他用气合计占比 30%。

在半导体领域,中国特种气体在市场占有率、产品品种、纯度、包装物处理、检测技术、运 维管理服务水平等方面均与国外气体巨头有较大差距。从原材料纯度开始,到合成工艺、对 温度和压力的控制,再到提纯方法和分析方法,以及产品充装过程中对杂质的控制,每个环 节都会影响整个产品的质量。目前中国厂商在传统及中低端产品方面已经形成了规模优势, 但在高端气体尤其是特气方面,与国外厂商的差距仍然比较明显,很多产品几乎都被外资企 业所垄断。目前外资在产品质量和产业规模上均具有较大优势,中国厂商还有很多特气不能 生产,很多产品还处于进口替代过程中。

此外,与国外气体公司相比,大部分中国厂商的供应产品仍较为单一,纯度级别不高,尤其 在集成电路、液晶面板、LED、光纤通信、光伏等高端领域,相关特种气体产品主要依赖进 口。根据中国工业气体工业协会统计,中国仅能生产约 20%的集成电路生产用特种气体品种, 其余均依赖进口,主要集中在清洗、蚀刻、光刻等环节,对掺杂、沉积等工艺的特种气体仅 有少部分品种取得突破。 中国厂商主要有华特气体、金宏气体、昊华科技、绿菱气体、派瑞特气、和远气体、雅克科 技、南大光电、久策气体等。其中华特气体自主研发的 Ar/F/Ne、Kr/Ne、Ar/Ne 和 Kr/F/Ne 这 4 种混合气在 2017 年得到全球最大光刻机制造厂商 ASML 的认证,全球仅 4 家,公司是 中国唯一的一家得到认证的气体公司,公司的拳头产品 4 款光刻气(Ar/Ne/Xe、Kr/Ne、 F2/Kr/Ne、F2/Ar/Ne)也通过了 ASML 和 Gigaphoton 的认证,是国内唯一通过两家认证的 气体公司。部分产品已批量供应 14nm、7nm 等产线,并且部分氟碳类产品已进入到 5nm 工 艺中使用;派瑞特气生产的三氟化氮、六氟化钨及三氟甲磺酸系列产品在国内市占率第一; 金宏气体率先打破高纯氨技术垄断;昊华科技的六氟化硫和三氟化氮、南大光电的磷烷和砷 烷等都也通过自主研发打破了国外技术垄断。

2.3 掩膜版:45nm 以下晶圆厂自行配套,独立第三方占比 35%

掩膜版(Photomask),又称光罩、光掩膜、光刻掩膜版、掩模版等,是下游产品制造过程中 图形“底片”转移用的高精密工具,是承载图形设计和工艺技术等知识产权信息的载体。光 掩模是用于集成电路制造工序的重要器件,通过制作光掩膜底板、绘图、显影、蚀刻以及去 除光致抗蚀剂等步骤,便成功制成掩膜版。

掩膜版主要由基板和遮光膜组成,其中基板又分为树脂基板、玻璃基板,玻璃基板按照材质 可分为石英玻璃基板、苏打玻璃基板等,石英玻璃性能稳定、热膨胀率低,主要用于高精度 掩膜版制作。遮光膜分为硬质遮光膜和乳胶,硬质遮光膜又分为铬、硅、氧化铁、氧化铝。

掩膜版从诞生之初至今,已经发展到第五代产品,分别经历了手工刻红膜、菲林版、干版、氧化铁、苏打和石英版,前四代产品有的已经被淘汰,有的仍在部分行业小范围使用,第五 代苏打和石英掩膜版自 20 世纪 70 年代出现后,目前应用范围最广。虽然现阶段无掩膜技术 能满足一些精度要求相对较低的行业(如 PCB 板)中图形转移的需求,但因为其生产效率低 下,所以对图形转移精度以及生产效率要求高的行业,仍然需要使用掩膜版,被快速迭代的 风险低。

掩膜版产业链上游包括掩膜基板、光学膜、化学试剂和包装盒等辅助材料,中游为掩膜版制 作,下游包括半导体(IC 制造、IC 封测、器件、LED 芯片)、平板显示、触控和 P CB 等, 终端应用包括消费电子、家用电器、汽车电子、物联网、医疗电子、工控等。 按用途分,光掩膜版可分为铬版(chrome)、干版、液体凸版和菲林。其中,铬版由于精度 高,耐用性好,被广泛用于 IC、平板显示、PCB 等行业;干版、液体凸版和菲林则主要被用 于中低精度的 LCD 行业、PCB 及 IC 载板等行业。从下游应用来看,IC 和平板显示占比最 大,其中半导体占据 60%,LCD 占比 23%,OLED 占比 5%,PCB 占比 2%。

全球独立第三方掩膜版厂商主要集中在日本和美国,包括日本 Toppan(凸版印刷)、日本 DNP (大日本印刷)、美国 Photronics(福尼克斯)、日本 HOYA(豪雅)、日本 SKE(SK 电子)、 韩国 LG-IT(LG Innotek,LG 集团子公司)等,此外还有中国厂商中国台湾光罩、路维光电 和清溢光电等。LG-IT 和 SKE 的掩膜版产品主要布局在平板显示领域,均拥有 G11 掩膜版 生产线;Toppan 和中国台湾光罩掩膜版产品主要布局在半导体领域;Photronics、DNP、 HOYA 的掩膜版产品同时布局在平板显示和半导体领域,均拥有 G11 掩膜版生产线;清溢光 电和路维光电的掩膜版主要布局在平板显示领域,此外还包括半导体、触控和 PCB/FP C 等 领域。

在半导体领域,光掩膜生产应商可以分为晶圆厂/IDM 厂自行配套的工厂和独立第三方光掩膜 厂商两大类,包括英特尔、三星、台积电、中芯国际、华润微(迪思微)等均有自制掩模版 业务。由于掩膜版涉及 Foundry 厂技术机密,因此 Foundry 厂先进制程(45nm 以下)所用 的掩膜版大部分由自己的专业工厂生产,但对于 45nm 以上等比较成熟的制程所用的标准化 程度更高的掩膜版,Foundry 厂为了降低成本,更倾向于向独立第三方掩膜版厂商进行采购。 根据 SEMI 数据,全球各国家/地区半导体掩膜版市场规模最大的国家/地区分别为中国台湾、 韩国和北美,2018 年占比分别为 37.7%/21.2%/19.1%,主要原因在于全球主要晶圆厂/IDM 厂包括台积电、三星、SK 海力士、英特尔、格芯、联电、美光等,产能主要集中在中国台湾、 韩国和美国。各厂商市场规模占比中,晶圆厂/IDM 厂占比稳步提升,2008 年占比仅为 39%, 2018 年已达到 64%,2019 年达到 65%,独立第三方掩膜厂商占比 35%。

中国大陆独立第三方半导体掩膜版厂商的技术能力主要集中在 100nm 节点以上的晶圆制造 用掩膜版以及 IC 封装/IC 器件掩膜版,与国际领先企业有着较为明显的差距。路维光电作为 国内掩膜版行业的领先企业,制造能力逐步从封测环节延伸至半导体器件及芯片制造,产品 集中在 300nm/250nm 制程节点,CD 精度能够控制在 50nm 水平,逐步向 180nm、150nm、 90nm、65nm 节点方向发展。清溢光电已实现 250nm 工艺节点的 6 英寸和 8 英寸半导体芯 片用掩膜版的量产,正在推进180nm 半导体芯片用掩膜版的客户测试认证,同步开展130nm65nm 半导体芯片用掩膜版的工艺研发和 28nm 半导体芯片所需的掩膜版工艺开发规划。无 锡中微掩模电子有限公司(简称“中微掩模”)则能够实现 0.13μm 及以上水平的高端集成电 路掩膜版生产和技术开发。


总体来说,中国掩膜版厂商产品整体偏中低端,按经营模式可分为 3 类:第一类是科研院所, 包括中科院半导体所、微电子所、中电科 13/55/47 所等;第二类是独立的掩膜版制造厂商, 主要有清溢光电、路维光电、中国台湾光罩等;第三类是晶圆厂自己配套生产掩膜版,主要 有中芯国际、华润微(迪思微)等。

展望未来,掩膜版发展趋势主要有 3 个方向: 1)精度趋向精细化:平板显示领域,显示屏的显示精度将从 450PPI(Pixel Per Inch,每英 寸像素)逐步提高到 650PPI 以上,对平板显示掩膜版的半导体层、光刻分辨率、最小过孔、 CD 均匀性、套合精度、缺陷大小、洁净度均提出了更高的技术要求。半导体领域,摩尔定 律了继续有效,将朝着 4nm 及以下继续突破,这对与之配套的晶圆制造以及芯片封装掩膜版 提出了更高要求,工艺制程要求将越来越高,先进制程占比有望越来越大。未来掩膜版产品 的精度将日趋精细化; 2)尺寸趋向大型化:随着电视尺寸趋向大型化,带动面板基板逐步趋向大型化,直接决定了 掩膜版产品尺寸趋向大型化; 3)掩膜版厂商向上游产业链延伸:掩膜版的主要原材料为掩膜版基板,为了降低原材料采购 成本,控制终端产品质量,掩膜版厂商已经开始陆续向上游产业链延伸,HOYA、LG-IT 等部 分企业已经具备了研磨/抛光、镀铬、光阻涂布等掩膜版全产业链的生产能力,路维光电和清 溢光电则在光阻涂布方面实现了突破。未来掩膜版行业内具有一定实力的企业,将逐步向上 游产业链拓展。

2.4 光刻胶及配套材料:日本厂商全面占优,南大光电 ArF 50nm 认证通过

光刻胶是利用光化学反应,经光刻工艺将所需要的微细图形从掩膜版转移到待加工基片上的 图形转移介质,被广泛应用于光电信息产业的微细图形线路的加工制作,是微细加工技术的 关键性材料。主要由成膜剂、光敏剂、溶剂和添加剂等主要化学品成分和其他助剂组成。光 刻胶按曝光后的溶解性分为正胶和负胶,正胶的曝光部分溶于显影液,负胶的未曝光部分溶 于显影液,相对而言,正胶比负胶的精度要高。 光刻胶上游原材料包括树脂、单体、光引发剂等,树脂构成光刻胶的基本骨架,决定曝光后 的基本性能,比如硬度、柔韧性、附着力、热稳定性等;单体参与光固化反应,能降低光固 化体系黏度,调节光固化材料性能;光引发剂则对光刻胶的感光度、分辨率等起决定作用。 根据中商产业研究院数据,光刻胶原材料成本占比中,树脂占比 50%,单体占比 35% ,光引 发剂及助剂占比 15%。

根据下游应用的不同,光刻胶分为 PCB 光刻胶、平板显示光刻胶、半导体光刻胶,光刻胶工 艺制程也经历了微米级、亚微米级、深亚微米级和纳米级的演进过程。其中半导体光刻胶的 技术指标要求最高,具体又分为 g 线/i 线/KrF/ArF/ArFi 和 EUV 光刻胶,波长依次递减,制程 节点越来越先进。


全球光刻胶市场规模稳步增长,根据 Data Bridge 和 Reportlinker 数据,2021 年全球光刻胶 市场规模 91.8 亿美元,预计 2026 年将达到 123 亿美元。

出货量角度,根据 TECHCET 数据,2020 年 g&i 线/KrF/ArF/ArFi/EUV 光刻胶出货量分别为 3658/3307/549/1190/18 千升,2021 年出货量分别为 3762/3704/580/1291/35 千升,预计到 2025 年将分别增长至 4048/4965/602/1630/145 千升。2020-2025 年 CAGR 中,E UV 光刻 胶增速最快,CAGR 为 53%,其次是 ArFi 光刻胶,CAGR 为 16.7%,因此,未来先进制程 光刻胶出货量将受益于先进制程产能占比提升而快速增加。 下游应用方面,根据 TECHCET 数据,2021 年逻辑电路/DRAM/非易失性存储器出货量分别 为 5954/1565/1853 千升,预计到 2025 年将分别增加至 6774/1615/3002 千升。

全球半导体光刻胶主要产能集中在日本和美国,根据 TECHCET 数据,2020 年厂商市场格 局中,东京应化(TOK)/合成橡胶(JSR)/杜邦(DuPont)/住友化学(Sumitomo)/信越 化学(Shin-Etsu)/富士胶片(Fujifilm)占比分别为 23%/21%/16%/15%/10%/8%,CR6 达 到 93%,市场集中度高。 细分产品来看, 2021 年 g&i 线 /KrF/ArF/ArFi/EUV 光刻胶 市场规模 分别为 2.92/6.9/1.96/7.59/0.51 亿美元,占比分别为 14.7%/34.7%/9.9%/38.2%/2.6%;预计到 2025 年将分别增长至 2.9/9.07/1.88/8.84/1.97 亿美元,EUV 光刻胶占比将从 2.6%提升至 8%,先 进制程光刻胶占比将持续提升。 根据 TOK 和 Fujifilm 数据,2020 年各细分产品厂商市场格局: g/i 线:东京应化占比 25.2%,杜邦占比 19.1%,住友化学占比 15.7%;KrF:东京应化占比 31.4%,信越化学占比 21.9%,合成橡胶占比 20.9%,杜邦占比 10.9%; ArF:合成橡胶占比24.9%,信越化学占比 21.8%,住友化学占比 16.8%,东京应化占比15.8%; EUV:东京应化占比 51.8%。

在各类光刻胶中,中国厂商和外资厂商对比来看,中国 PCB/LCD/半导体光刻胶厂商占比分 别为 61%/35%/29%。中国 2019 年光刻胶市场规模方面,PCB 光刻胶为 82 亿元,LCD 光 刻胶为 8.4 亿美元,半导体光刻胶为 20.7 亿元。

LCD 光刻胶厂商主要集中在日本、韩国和中国台湾,其中彩色光刻胶方面,JSR、LG 化学 东洋油墨、奇美、达兴等合计占比超过 90%,中国厂商主要有永太科技、雅克科技、彤程新 材(北旭电子)等,国产化率为 5%;黑色光刻胶方面,TOK、新日铁化学、三菱化学等合计 占比超过 90%,中国厂商主要有上海新阳、江苏博砚等,国产化率为 5%。 半导体光刻胶厂商主要集中在日本和美国,东京应化(TOK)、合成橡胶(JSR)、杜邦(DuPont)、 住友化学(Sumitomo)、信越化学(Shin-Etsu)和富士胶片(Fujifilm)合计占比达到 93%。 g/i 线光刻胶中国厂商主要有晶瑞电材(苏州瑞红)、彤程新材(北京科华)等,国产化率为 10%。KrF 光刻胶中国厂商主要有彤程新材(北京科华)、上海新阳、晶瑞电材(苏州瑞红)、 华懋科技(徐州博康)等,国产化率为 1%。ArF 光刻胶已经布局的中国厂商主要有南大光 电、晶瑞电材(苏州瑞红)、彤程新材(北京科华)、上海新阳、华懋科技(徐州博康)等, 国产化率为 1%。EUV 光刻胶中国厂商目前尚无产品问世。


2.5 湿电子化学品:分为通用+功能,中国厂商部分产品已达到 G5 等级

湿电子化学品(Wet Chemicals)是微电子、光电子湿法工艺制程中使用的各种液体化工材 料,广泛用于半导体、显示面板、光伏、LED 等电子元器件微细加工的清洗、光刻、显影、 蚀刻、掺杂等工艺环节配套使用,是半导体、显示面板、光伏等制作过程中不可缺少的关键 性材料之一。从大类来分,一般可划分为通用湿电子化学品和功能湿电子化学品。 通用湿电子化学品指在半导体、显示面板、光伏等制造工艺中被大量使用的液体化学品,一 般为单成份、单功能化学品,具体分为酸类、碱类、有机溶剂类和其他类,产品包括氢氟酸、硫酸、氢氧化钾、氢氧化钠、甲醇、丙酮、过氧化氢等。 功能湿电子化学品指通过复配手段达到特殊功能、满足制造中特殊工艺需求的复配类化学品, 以光刻胶配套材料为代表,产品有显影液、剥离液、清洗液、蚀刻液、稀释液等,一般配合 光刻胶使用,应用于晶圆制造的涂胶、显影和去胶工艺。

湿电子化学品在集成电路中的应用主要为刻蚀和清洗等,包括硅片、晶圆制造、光罩制作以 及封装工艺等,具体分为扩散前清洗、刻蚀后清洗、离子注入后清洗、光罩过程蚀刻清洗、 封装 TSV 清洗、键合清洗等。


全球湿电子化学品通常执行 SEMI 国际标准,关键技术指标主要包括金属杂质、控制粒径、 颗粒数、IC 线宽等。根据指标的不同,分为 G1-G5 共 5 个等级,等级越高精细度越高。湿 电子化学品在下游应用领域的标准有所不同,其中光伏和分立器件集中在 G1 级,面板集中 在 G2-G4 级,集成电路对纯度要求最高,集中在 G3-G5 级,而且晶圆尺寸越大对纯度要求 越高,12 英寸晶圆制造通常需要 G4-G5 级。

需求量方面,根据中国电子材料行业协会数据,2021 年全球湿电子化学品需求量为 458. 3 万 吨,半导体需求量 209 万吨,显示面板需求量 167.2 万吨,光伏等其他需求量 82.1 万吨。 预计到 2025 年全球湿电子化学品需求量将达到 697.2 万吨,半导体需求量 313 万吨,显示 面板需求量 244 万吨,光伏等其他需求量 140.2 万吨。 2021 年中国湿电子化学品需求量为 213.5 万吨,半导体需求量 70.3 万吨,显示面板需求量 77.8 万吨,光伏需求量 65.4 万吨。预计到 2025 年中国湿电子化学品需求量将达到 369. 6 万 吨,半导体需求量 106.9 万吨,显示面板需求量 149.5 万吨,光伏需求量 113.1 万吨。

中国湿电子化学品在半导体加工领域,需求量较大的产品包括:硫酸 32.8%、双氧水 28.1%、 氨水 8.3%、显影液 6.0%、氢氟酸 5.9%,前 4 种主要应用于晶圆的湿清洗; 在显示面板领域,需求较大的产品包括:磷酸41.3%、硝酸24.06%、MEA 等极性溶液15.8%、 醋酸 9.59%; 在光伏领域,需求量较大的产品包括:氢氟酸 31.39%、硝酸 21.17%、氢氧化钾 24. 06%、 盐酸 11.04%,这 4 种产品主要应用于晶硅太阳能电池片的制绒加工及其清洗。


供应商格局方面,全球湿电子化学品主要厂商在欧美和日本,其次还包括韩国、中国大陆和 中国台湾。根据华经产业研究院数据,2020 年全球湿电子化学品供应格局中,欧美占比 3 1%, 日本占比 29%,韩国和中国大陆占比均为 15%,中国台湾占比 8%。国产化率方面,中国厂 商在光伏领域已基本实现自主供应;显示面板领域国产化率为 40%;半导体领域对产品性能 要求最高,国产化率最低,整体国产化率为 23%,8 英寸及以上不足 20%,在半导体领域, 国产替代空间最大。

在低端湿电子化学品领域,中国有较多厂商已经掌握相关生产技术,竞争较为激烈,这类产 品主要应用于光伏领域。而在高端湿电子化学品领域,国外厂商占据大部分市场,中国厂商 由于起步较晚,技术水平与全球领先水平仍有一定差距,但中国厂商具备本土化生产、性价 比高、供应稳定等优势,在部分产品中已经抢占了部分市场,未来国产替代空间大。 欧美厂商中,主要有德国巴斯夫、德国默克、德国汉高、美国陶氏杜邦、美国亚什兰、美国 霍尼韦尔、美国 ATMI、美国空气化工、美国英特格等;日本厂商中,主要有关东化学、三菱 化学、住友化学、信越化学、Stella 等;韩国厂商中,主要有东友精细化工、东进世美肯、 Soul-brain 等;中国台湾厂商中,主要有东应化、联仕、三福化工等;中国大陆厂商中,主 要有江化微、晶瑞电材、上海新阳、安集科技、格林达、飞凯材料、新宙邦、中巨芯、兴福 电子、润玛股份等。中国大陆厂商开拓进取,努力实现技术突破,目前部分产品已经达到 SEMI 国际标准 G4 和 G5 等级,为显示面板、半导体领域湿电子化学品的国产替代奠定了坚实的 基础。未来随着半导体、显示面板工艺精度提升,对湿电子化学品的纯度要求也将不断提高。

2.6 CMP 抛光材料:美日厂商主导,中国厂商 28nm 产品实现突破

CMP(化学机械抛光)环节是晶圆制造的关键步骤,可以使晶圆表面达到高度平坦化、低表 面粗糙度和低缺陷的要求,解决晶圆表面起伏不平导致的光刻无法准确对焦、电子迁移短路、 线宽控制失效等问题。

CMP 上游为抛光材料,主要包括抛光垫、抛光液、钻石碟、清洗液等。CMP 抛光垫是 CMP 环节的核心耗材之一,主要作用是储存和运输抛光液、去除磨屑和维持稳定的抛光环境等。 CMP 抛光液是研磨材料和化学添加剂的混合物,可使晶圆表面产生一层氧化膜,再由抛光液 中的磨粒去除,达到抛光的目的。钻石碟是 CMP 工艺中必不可少的耗材,用于维持抛光垫 表面一定的粗糙状态,通常与 CMP 抛光垫配套使用。清洗液主要用于去除残留在晶圆表面 的微尘颗粒、有机物、无机物、金属离子、氧化物等杂质,满足集成电路制造对清洁度的极 高要求,对晶圆生产的良率起到了重要作用。中游为晶圆制备,下游应用包括消费电子、汽 车电子、医疗等领域。

CMP 工作原理是在一定压力下及抛光液的存在下,被抛光的晶圆对抛光垫做相对运动,借助 纳米磨料的机械研磨作用与各类化学试剂的化学作用之间的高度有机结合,使被抛光的晶圆 表面达到高度平坦化、低表面粗糙度和低缺陷的要求。根据不同工艺制程和技术节点的要求, 每一片晶圆在生产过程中都会经历几道甚至几十道的 CMP 抛光工艺步骤。与传统的纯机械 或纯化学的抛光方法不同,CMP 工艺是通过表面化学作用和机械研磨的技术结合来实现晶 圆表面微米/纳米级不同材料的去除,从而达到晶圆表面的高度(纳米级)平坦化效应,使下 一步的光刻工艺得以顺利进行。化学作用是指抛光液中的化学品和硅片表面发生化学反应, 生成比较容易去除的物质,物理过程是指抛光液中的磨粒和硅片表面材料发生机械物理摩擦, 去除化学反应生成的物质。


根据 Cabot Microelectronics、TECHCET 和观研天下数据,全球 CMP 抛光垫 2016 年市场 规模为 6.5 亿美元,2021 年为 11.5 亿美元;全球 CMP 抛光液 2016 年市场规模为 11 亿美 元,2021 年为 18.9 亿美元,预计 2026 年将达到 25.3 亿美元,其中铜抛光液、钨抛光液和 氧化物抛光液的市场规模占比最大,而钴抛光液和多晶硅抛光液则成为增长最快的抛光液品 类。

根据 Cabot Microelectronics 数据,全球 CMP 抛光垫市场格局中,陶氏杜邦占比 79%,占 据市场主导地位,卡博特(Cabot)占比 5%,Thomas West 占比 4%。全球 CMP 抛光液市 场格局中,卡博特(Cabot)占比 33%,日立(Hitachi)占比 13%,Fujimi 占比 10% ,中国 厂商安集科技占比 2%,排名第 5。

安集科技化学机械抛光液包括硅/多晶硅抛光液、浅槽隔离(STI)抛光液、金属栅极抛光液、 介电材料(二氧化硅、氮化硅)抛光液、钨抛光液、铜及铜阻挡层抛光液、三维集成(TSV、 混合键合等)抛光液、硅衬底抛光液和应用于第三代宽带半导体的抛光液等系列产品。其中, 在用于 28nm 技术节点 HKMG 工艺的铝抛光液取得重大突破,通过客户验证,打破了国外 厂商在该应用的垄断并实现量产。在基于氧化铈磨料的抛光液方面,公司与客户共同开发的 基于氧化铈磨料的抛光液产品突破技术瓶颈,目前已在 3D NAND 先进制程中实现量产并在 逐步上量。衬底抛光液方面,公司在硅的精抛液取得突破,技术性能达到国际主流供应商的 同等水平,产品在国内领先硅片生产厂论证按计划顺利进行;为客户定制开发的用于第三代 半导体衬底材料的抛光液,进展顺利,部分产品获得海外客户的订单,拓展了海外市场。

展望未来,一方面,晶圆制造工艺制程缩小将进一步带来 CMP 工艺步骤增长,带动 CMP 抛 光材料在晶圆制造过程中的消耗量增加。根据 Cabot Microelectronics 数据,250nm 时 CMP 抛光步骤为 8 次,45nm 时 CMP 抛光步骤增加到 17 次,7nm 时 CMP 抛光步骤则增加到 30 次。此外,在存储芯片领域,随着存储容量需求增长,存储芯片在由 2D NAND 向 3D NAND 升级过程中,CMP 抛光步骤由 7 次增加到 15 次,实现了翻倍增长。作为主流存储技术,3D NAND 层数也在不断增加,随着堆叠层数增加,CMP 抛光材料的需求量也有望同步增长。 此外,先进封装的应用使 CMP 从晶圆制造前道工艺走向后道工艺。在封装领域,传统的 2D 封装并不需要 CMP 工艺,但随着系统级封装等新的封装方式的发展,技术实现方法上出现 了倒装、凸块、晶圆级封装、TSV 硅通孔、2.5D 封装和 3D 封装等先进封装技术。其中 TSV 技术中就需要使用 CMP 工艺进行通孔大马士革铜工艺淀积后的正面抛光,可以平坦化和隔 开另一面沉积的导体薄膜,方便进行金属布线,此外,也能用于晶圆背面金属化和平坦化的 减薄抛光,未来 CMP 抛光材料将在先进封装工艺中寻找到新的市场空间。


2.7 靶材:日美 4 大厂商合计占比 80%,江丰电子已进入 5nm 先端工艺

PVD 技术是制备薄膜材料的主要技术之一,指在真空条件下采用物理方法,将某种物质表面 气化成气态原子、分子或部分电离成离子,并通过低压气体(或等离子体)过程,在基板材 料表面沉积具有某种特殊功能的薄膜材料的技术。PVD 技术已成为目前主流镀膜方法,主要 包括溅射镀膜和真空蒸发镀膜。用于制备薄膜材料的物质,统称为 PVD 镀膜材料。 溅射镀膜是指利用离子源产生的离子,在真空中经过加速聚集,而形成高速度的离子束流, 轰击固体表面,离子和固体表面原子发生动能交换,使固体表面的原子离开固体并沉积在基 板材料表面的技术。被轰击的固体是用溅射法沉积薄膜材料的原材料,称为溅射靶材。溅射 靶材主要由靶坯、背板(或背管)等部分构成,靶坯是高速离子束流轰击的目标材料,属于 溅射靶材的核心部分。溅射镀膜工艺可重复性好、膜厚可控制,可在大面积基板材料上获得 厚度均匀的薄膜,所制备的薄膜具有纯度高、致密性好、与基板材料的结合力强等优点,已 成为制备薄膜材料的主要技术之一,各种类型的溅射薄膜材料已得到广泛的应用,溅射靶材 是目前市场应用量最大的 PVD 镀膜材料。

以金属靶材为例,高纯溅射靶材产业链上游为金属提纯,包括原材料和生产设备,其中高纯 金属原材料生产成本可占到靶材生产成本的大约 80%,国外厂商包括斯塔克、住友化学、霍 尼韦尔、大阪钛业等,中国厂商包括东方钽业、宁波创润、紫金矿业等;生产设备包括靶材 冷轧系统、等离子喷涂设备、热处理炉等 30 多种。 中游为高纯溅射靶材制备,国外厂商主要有日矿金属、霍尼韦尔、东曹、普莱克斯等,中国 厂商主要有江丰电子、有研新材(有研亿金)、阿石创等。在溅射镀膜过程中,溅射靶材需要 安装在机台中完成溅射反应,溅射机台专用性强、精密度高,市场长期被美国、日本公司垄 断,主要厂商包括美国 AMAT(应用材料)、日本 ULVAC(爱发科)、日本 ANELVA 、美国 Varian(瓦里安)等。 下游应用主要包括半导体(占比 20%)、平板显示(占比 30%)、太阳能电池(占比 18%) 等,主要厂商有台积电、联电、SK 海力士、中芯国际、华虹半导体、三星电子、LG Display、 京东方、华星光电、SunPower(太阳能源)、天合光能等。


溅射靶材的种类较多,按照不同的标准,能够将溅射靶材分为不同的类别。按形状分类,分 为平面靶材、旋转靶材等;按化学成分分类,分为金属靶材、非金属单质靶材、合金靶材、 陶瓷化合物靶材等;按应用领域分类,分为半导体靶材、平板显示靶材、太阳能电池靶材、 信息存储靶材等。

根据华经产业研究院数据,全球靶材市场稳步增长,2016 年为 113 亿美元,2020 年为 196 亿美元。中国靶材市场 2016 年为 177 亿元,2020 年为 337 亿元。下游重点应用领域中,全 球半导体靶材 2021 年为 16.95 亿美元,其中晶圆制造用靶材 10.5 亿美元,封装用靶材 6.45 亿美元;中国半导体/平板显示/太阳能电池靶材 2020 年分别为 17/150/31.7 亿元。

全球范围内,高纯金属产业集中度较高,日本、美国等国家的高纯金属生产商依托其提纯技 术在整个产业链中居于有利地位,靶材厂商从金属材料的高纯化制备到靶材制造生产具有完 备的技术垂直整合能力,控制着全球高端电子制造用靶材的主要市场。根据新材料在线数据, 日本日矿金属占比 30%,美国霍尼韦尔占比 20%,日本东曹占比 20%,美国普莱克斯占比 10%,4 大厂商合计占比 80%。 中国靶材市场中,外资厂商占比 70%,中国厂商江丰电子占比 3%、隆华科技(四丰电子、 晶联光电)占比 3%、阿石创占比 1%。其中江丰电子和有研新材(有研亿金)以半导体靶材 为主,江丰电子与客户紧密配合,持续追踪国际前沿技术,产品已经进入 5nm 先端工艺,成 为台积电、中芯国际、SK 海力士、联电等全球知名半导体企业的核心供应商。有研新材(有 研亿金)的铜系列高端靶材产品全面实现技术突破,12 英寸高纯铜及铜合金靶材、高纯镍铂 靶材和高纯钴靶材的多款产品已经通过多家集成电路高端客户认证,开始批量供货,随着半 导体晶圆集成度越来越高,靶材也将向着高纯度、大尺寸方向发展。阿石创和隆华科技(四 丰电子、晶联光电)则以平板显示靶材为主。

3、封装材料:芯片成功出厂的重要保障

芯片封装工艺流程包括来料检查、贴膜、磨片、贴片、划片、划片检测、装片、键合、塑封、 打标、切筋打弯、品质检验,最终是产品出货。在这一过程中,就需要用到封装基板、引线框架、键合丝、包封材料、陶瓷基板、粘接材料等封装材料,这些材料是芯片完成封装出货 的重要支撑。


3.1 封装基板:封装领域第一大材料,中国大陆厂商积极扩产 ABF 载板

传统的 IC 封装采用引线框架作为 IC 导通线路与支撑 IC 的载体,连接引脚于导线框架的两 旁或四周,如四侧引脚扁平封装(Quad Flat Package,简称 QFP)、方形扁平无引脚封装 (Quad Flat No-leads,简称 QFN)等。

随着技术发展,IC 的线宽不断缩小,集成度稳步提高,IC 封装逐步向着超多引脚、窄节距、 超小型化方向发展。20 世纪 90 年代中期,一种以球栅阵列封装(Ball Grid Array,简称 BGA)、 芯片尺寸封装(Chip Scale Package,简称 CSP)为代表的新型 IC 高密度封装形式问世, 从而产生了一种新的封装载体——封装基板。

根据 Prismark 数据,2021 年全球 PCB 行业产值为 804.49 亿美元,同比增长 23.4% ,预计 2021-2026 年全球 PCB 行业的复合增长率为 4.8%。下游应用中,通讯占比 32%,计算机占 比 24%,消费电子占比 15%,汽车电子占比 10%,服务器占比 10%。 从产品结构来看,IC 封装基板和 HDI 板虽然占比不高,分别占比 17.6%和 14.7%,但却是 主要的增长驱动因素。2021 年全球 IC 封装基板行业整体规模达 141.98 亿美元、同比增长 39.4%,已超过柔性板成为印制电路板行业中增速最快的细分子行业。2021 年中国 IC 封装 基板(含外资厂商在国内工厂)市场规模为 23.17 亿美元、同比增长 56.4%,仍维持快速增 长的发展态势。

按照应用领域的不同,封装基板分为存储芯片封装基板、微机电系统封装基板、射频模块封 装基板、处理器芯片封装基板和高速通信封装基板等,主要应用于移动智能终端、服务/存储 等。 按封装工艺的不同,封装基板分为引线键合封装基板(WB)和倒装封装基板(FC)等,使 用不同封装工艺与封装技术生产的封装基板应用领域不同。引线键合(WB)使用细金属线, 利用热、压力、超声波能量为使金属引线与芯片焊盘、基板焊盘紧密焊合,实现芯片与基板 间的电气互连和芯片间的信息互通,主要应用于射频模块、存储芯片、微机电系统器件封装; 倒装(FC)采用焊球连接芯片与基板,即在芯片的焊盘上形成焊球,然后将芯片翻转贴到对 应的基板上,利用加热熔融的焊球实现芯片与基板焊盘结合,该封装工艺已广泛应用于 CP U、 GPU 及 Chipset 等产品封装。将封装工艺与封装技术结合起来,又可将封装基板分为不同类 型。

目前全球封装基板厂商主要分布在日本、韩国和中国台湾,根据 Prismark 和集微咨询数据, 2020 年封装基板市场格局较为分散,中国台湾厂商欣兴电子/南亚电路/景硕科技/日月光材料 占比分别为 15%/9%/9%/4%,产品主要有 WB 和 FC 封装基板;日本厂商揖斐电/新光电气/ 京瓷占比分别为 11%/8%/5%,产品主要为 FC 封装基板;韩国厂商三星电机/信泰电子/大德 电子占比分别为 10%/7%/5%,产品主要为 FC 封装基板。


按照 FC 基板材质又分为 BT 载板和 ABF 载板。BT 树脂全称为“双马来酰亚胺三嗪树脂”, 由日本三菱瓦斯公司研发,虽然 BT 树脂专利期已过,但三菱瓦斯公司在 BT 树脂研发和应 用方面仍处于全球领先地位,BT 树脂主要生产厂商是三菱瓦斯和日立化成。BT 树脂具备高 耐热性(Tg)、抗湿性、低介电常数(Dk)和低散失因素(Df)等多种优势,但是由于具有 玻纤纱层,较 ABF 材质的 FC 基板更硬,且布线较麻烦,雷射钻孔的难度较高,无法满足细 线路要求,但可以稳定尺寸,防止热胀冷缩而影响线路良率,因此 BT 材质多用于对于可靠 度要求较高的网络芯片及可程式逻辑芯片。目前,BT 载板多用于手机 MEMS 芯片、通信芯 片、内存芯片、射频芯片、指纹识别芯片等产品,随着 LED 芯片的快速发展,BT载板在 LED 芯片封装上的应用也在快速发展。

目前全球 ABF 载板主要有 7 大供货商,中国台湾和日本厂商占据主导地位。根据 WaferChem 数据,市占率分别为:欣兴电子 24%、南亚电路 20%、景硕科技 5%、Ibiden(揖斐电)20%、 Shinko(新光电气)11%、AT&S(奥特斯)11%、Semco(三星电机)9%,2022 年除 Semco 外,其余厂商于皆有进行扩产。中国厂商兴森科技、深南电路等已经有所布局,未来有望实 现量产。 ABF 载板的核心原材料之一是 ABF 膜(Ajinomoto Build-up Film),2020 年 ABF 膜全球市 场规模为 10 亿美元,出货面积达到 1670 万 m2,主要供应商 Ajinomoto(味之素)占据全球 99%市场份额。其他厂商则主要包括日本的 Sekisui Chemical(积水化学)和中国台湾的晶 化科技,晶化科技为中国台湾首家自主研发 ABF 膜的厂商,目前其 TBF 产品已通过多家厂 商的验证并已小量出货。

3.2 引线框架:IC 与功率器件载体,自主化率较高

引线框架是一种集成电路芯片载体,并借助于键合丝使芯片内部电路引出端(键合点)通过 内引线实现与外引线的电气连接,形成电气回路的关键结构件。主要作用包括稳固芯片、传导信号、传输热量等,核心性能指标有强度、弯曲、导电性、导热性、耐热性、热匹配、耐 腐蚀、步进性、共面形、应力释放等,均需要达到较高标准。 根据所应用半导体产品的不同,引线框架可以分为应用于集成电路的引线框架和应用于分立 器件的引线框架两大类。集成电路应用范围广,有 DIP、SOP、QFP、BGA、CSP 等多种封 装方式;分立器件主要是各种晶体管,封装上大都采用 TO、SOT 这两种封装方式。 根据生产工艺不同,引线框架分为冲压型和蚀刻型两种。按照国际生产经验,100 脚位以上 主要采用蚀刻型生产工艺,100 脚位以下主要采用冲压型生产工艺。

蚀刻引线框架是通用集成电路封装材料,此外还有一种柔性引线框架。蚀刻引线框架和柔性 引线框架均属于引线框架,不同的是蚀刻引线框架是通用集成电路封装材料(是集成电路 QFN/DFN 封装形式中的关键材料,下游应用领域较广),柔性引线框架是智能卡芯片的专用 封装材料(有国际规范标准),主要起到保护安全芯片及作为芯片和外界刷卡设备之间的通讯 接口的作用,二者的相同之处是生产工艺类似。


根据 SEMI 数据,全球引线框架市场规模常年保持稳定,2020 年为 31.95 亿美元,同比增长 3.5%。市场格局方面,在中国台湾厂商并购部分日本厂商之后,目前由日本和中国台湾厂商 占据主导地位,日本三井高排名第 1,占比 12%;中国台湾长华科技(收购日本住友金属引 线框架部门)排名第 2,占比 11%;日本新光电气排名第 3,占比 9%;韩国 HDS(2014 年 由三星 Techwin 剥离)、中国台湾顺德工业、新加坡 ASM、中国台湾界霖科技分列第 4-7 位, 占比分别为 8%/7%/7%/4%;中国大陆康强电子排名第 8,占比 4%,也是唯一进入全球前 10 的中国大陆厂商。全球前 8 大引线框架企业掌握了 62%的市场份额。

根据 TechSearch 数据,2019 年全球引线框架市场结构方面,IC 引线框架市场规模 17. 6 亿 美元,排名第 1,占比 56%;其次分别是功率引线框架和光电引线框架,市场规模分别为 7.3 亿美元和 6.8 亿美元,占比分别为 23%和 21%。根据 SEMI 数据,功率引线框架厂商中,由 中国台湾厂商主导,其中顺德工业/ 界霖科技/长华科技分列第 1-3 名,占比分别为 16.9%/13%/10.4%。 全球引线框架下游各应用领域方面,主要包括汽车、工业和消费者业务。根据 SEMI 数据, 2021 年汽车占比 40%,工业占比 28%,消费者业务占比 29%,随着新能源车渗透率提升, 2022Q1 汽车占比进一步提升至 45%,工业和消费者业务均下降至 26%。

引线框架也将伴随着芯片行业发展而不断技术进步,根据三井高(Mitsui High-tec)预测,未 来将会向着更小、更薄的封装方向演进,引线框架性能方面则将向着更高可靠性、更低成本 去发展,具体包括金线削减、金线品种更新、高密度以及胶带材质/形状的更新。

3.3 键合丝:IC 与引线框架电气连接的桥梁,本土厂商需迈向多元化高端化

键合丝是芯片内电路输入输出连接点与引线框架的内接触点之间实现电气连接的微细金属 丝,直径为十几微米到几十微米。上游原料主要为黄金、白银、铜、铝等金属,中游为键合 丝生产,下游应用为集成电路和分立器件等。根据材质不同,分为非合金丝和合金丝,非合 金丝包括金丝、银丝、铜丝、铝丝;合金丝包括镀金银线、镀铜键合丝。黄金化学性能稳定、 抗氧化、不与酸碱反应,由黄金制成的键合金丝延展性好、导电性能佳、可靠性高,因此是 使用最早、用量最大的一类。但由于黄金价格成本较高,键合铜丝市占率持续提升。


作为集成电路和半导体分立器件内引线的键合金丝纯度为 99.99%(4N)。键合丝生产主要有 5 个工艺步骤,第 1 步是精炼,主要进行化学湿式精练和电解提纯;第 2 步是熔解及铸造, 通过在高频率熔炉中熔解精制黄金并拉伸铸造;第 3 步是拉丝,金线通过一定大小凹槽的 dies,按阶段缩小金线直径;第 4 步是热处理,通过加热调整键合丝的载荷;第 5 步是卷线, 需要根据客户要求卷线热处理后的键合丝。随着芯片集成度越来越高,键合丝直径将有望向 超细化方向发展。

3.4 陶瓷基板:新兴散热材料,日本 3 大厂商合计占比 50%

随着功率电子产品技术进步,散热问题已成为制约其向着大功率与轻型化方向发展的瓶颈。 陶瓷基板作为新兴的散热材料,具有优良电绝缘性能,高导热特性,导热性与绝缘性都优于 金属基板,更适合功率电子产品封装,已成为大功率电力电子电路结构技术和互连技术的基 础材料,广泛应用于 LED、汽车电子、航天航空及军用电子组件、激光等工业电子领域。对 于陶瓷基板,需要通过其实现电气连接,因此金属化对陶瓷基板的制作而言是至关重要的一 环,根据制备工艺及金属化方法不同,现阶段常见的陶瓷基板种类共有 HTCC、LTCC、DP C、 DBC 和 AMB 等。 HTCC(High Temperature Co-fired Ceramic,高温共烧陶瓷):属于较早发展的技术,是采 用陶瓷与高熔点的 W、Mo 等金属图案进行共烧获得的多层陶瓷基板。但由于烧结温度较高 使其电极材料的选择受限,且制作成本相对昂,促使了 LTCC 的发展;DBC(Direct Bonded Copper,直接覆铜):通过热熔式粘合法,在高温下将铜箔直接烧结到 Al2O3和 AlN 陶瓷表面而制成复合基板; AMB(Active Metal Brazing,活性金属钎焊):AMB 是在 DBC 技术的基础上发展而来的, 在 800℃左右的高温下,含有活性元素 Ti、Zr 的 AgCu 焊料在陶瓷和金属的界面润湿并反应, 从而实现陶瓷与金属异质键合。 与传统产品相比,AMB 陶瓷基板是靠陶瓷与活性金属焊膏在高温下进行化学反应来实现结 合,因此其结合强度更高,可靠性更好,极适用于连接器或对电流承载大、散热要求高的场 景。

常用电子封装陶瓷基片材料包括氧化铝(Al2O3)、氮化铝(AlN)、氮化硅(Si3N4)、氧化铍(BeO )、 氮化硼(BN)等。Al2O3 和 AlN 综合性能较好,分别在低端和高端陶瓷基板市场占据主流, 而 Si3N4基板由于综合性能突出,在高功率、大温变电力电子器件(如 IGBT)封装领域发挥重 要作用。从目前市场综合价格和产品性能来看,Al2O3 和 AlN 是最常见的两种基板。虽然 AlN 的价格是 Al2O3 的 4 倍左右,但由于其高导热性和更好的散热性能,AlN 是目前最常用的基 板,其次是 Al2O3。 根据全球封装材料市场规模及陶瓷基板占比,我们推算 2021 年陶瓷基板市场规模约为 11 亿 美元。主要厂商中,根据 market insights reports 数据,京瓷+村田+西铁城合计占比 5 0%。

3.5 芯片粘接材料:实现芯片与底座或封装基板连接,DAF 及 CDAF 有望 逐步取代 DAP

芯片粘结材料是采用粘结技术实现芯片与底座或封装基板连接的材料,在物理化学性能上要 满足机械强度高、化学性能稳定、导电导热、低固化温度和可操作性强的要求。主要包括芯 片粘接胶水(die attach paste,DAP)、非导电芯片粘接薄膜(non-conductive die attach film, DAF)以及导电芯片粘接薄膜(conductive die attach film,CDAF) 等,其中 DAP 技术门槛 相对较低,DAF 技术门槛相对较高,CDAF 技术门槛要求最高。


2019 年全球芯片粘接材料市场规模约 8.7 亿美元,德国日本厂商占据主导地位。根据 CEPEM 数据,2019 年中国半导体芯片粘接材料主要供应商同样以德国日本厂商为主(以销售量计): DAP 方面,德国汉高占比 65.3%,日本厂商日立化成、住友化学、京瓷、信越化学合计占比 22.6%,中国厂商永固科技和德邦科技合计占比 9.3%,其中永固科技市占率 8.1%,仅次于 汉高和京瓷,排名第 3; DAF 方面,非存储器领域汉高占比 61%,日立化成占比 29.9%,日东电工占比 8.2%,3 大 厂商合计占比 99.1%;存储器领域日东电工占比 91.4%,日立化成占比 8%,汉高占比 0.6%, 3 大厂商合计占比 100%; CDAF 方面,被汉高垄断,占比 100%。

4、晶圆厂迎扩产潮,中国大陆自主化率亟待提升

4.1 中国大陆半导体材料自主化率 10-15%

根据我们统计和推算,中国大陆半导体材料目前基本实现了重点材料领域的布局或量产,部 分产品如电子气体、湿电子化学品、引线框架等自主化率达到 20-40%不等,但是大部分产 品自主化率仍然较低,不足 10%。综合来看,晶圆制造材料自主化率<15%,封装材料自主 化率<30%,半导体材料整体自主化率 10-15%,国产替代需求十分迫切。 从技术水平讲,中国大陆厂商整体仍然以中低端产品为主,高端材料依然被海外厂商主导, 并且在产能及市场规模方面与海外厂商也有较大差距。但是经过不懈努力,部分高端产品如 ArF 光刻胶已经通过一些企业认证,而在硅片、电子气体、氢氟酸、靶材中的部分高端产品 已经取得突破并打入 ASML、台积电、三星、格芯、联电、中芯国际、意法半导体、S K 海力 士、德州仪器、英飞凌等行业龙头公司供应链。

4.2 晶圆厂扩产有望加速国产半导体材料验证导入

自疫情爆发以来,居家办公、教育等刺激了电子产品需求量,此外,新能源车渗透率快速提 升,亦拉动了芯片需求量大幅增长,芯片短缺问题日益严重。随着美国对中国半导体发展限 制,加速了全球供应链安全担忧,供应链已经从成本优先转移到供应链安全优先,在此背景 下,全球各主要国家/地区半导体晶圆厂纷纷开始扩产以应对芯片短缺。 中国大陆厂商中,中芯国际计划在北京、上海、深圳、天津分别新建 10/10/4/10 万片/月的 12 英寸芯片产能,工艺制程为 28nm 及以上,此外,华虹半导体、士兰微、华润微、闻泰科技、 粤芯半导体等厂商均有扩产计划,从投产时间来看,绝大部分新建产能计划在 2022-2025 年 陆续投产。 我们认为,晶圆厂新建阶段将带动半导体设备需求量景气度高涨,而在晶圆厂投产后,随着 产能利用率和良率不断爬坡,将会带动半导体材料开始逐步放量,在半导体供应链安全的背 景下,我们认为将有利于国产半导体材料厂商加速导入国产新建晶圆厂,随着在晶圆厂不断 验证调试和优化,国产半导体材料将有望驶入技术突破提速的过程,产品将不断向着先进制 程去突破,不断迈向高端化,相关产业链厂商有望充分受益。

(本文仅供参考,不代表我们的任何投资建议。如需使用相关信息,请参阅报告原文。)

精选报告来源:【未来智库】。系统发生错误

相关参考

日立刀粒(半导体材料行业深度报告:扩产高峰替代加速,国产材料迎发展良机)

...双亮、王佩麟)1、国内晶圆厂扩产高峰+国产替代加速,半导体材料厂商迎黄金发展期1.1、半导体材料:产业链的重要支撑环节半导体材料为半导体产业链条中重要的支撑环节。半导体材料,顾名思义,指的是半导体制造加工过...

新型手机视窗专用胶(半导体晶圆制造材料深度报告:行业基石,一材难求)

...括“氟聚酰亚胺”、“光刻胶”和“高纯度氟化氢”3种半导体及OLED材料。从日韩贸易战可以看出,半导体材料有着极其重要的地位,关键时刻能作为维护国家利益的重要手段。半导体材料处于半导体产业链的上游,是半导体行...

氧化镝靶材(关于国内靶材龙头:有研新材和江丰电子等相关公司深度分析 详情)

半导体行业中什么是靶材?国内靶材龙头,产能加速释放助力公司发展一、科普靶材:关于电子元器件(半导体)行业常会见到一个词,靶材,半导体材料可以分为晶圆材料和封装材料,封装材料相较于晶圆制造材料来说技术壁...

氟化氢铵锡镍合金电镀配方(半导体晶圆制造材料深度报告:行业基石,一材难求)

...括“氟聚酰亚胺”、“光刻胶”和“高纯度氟化氢”3种半导体及OLED材料。从日韩贸易战可以看出,半导体材料有着极其重要的地位,关键时刻能作为维护国家利益的重要手段。半导体材料处于半导体产业链的上游,是半导体行...

日本东京精机(硅基材料掀全球缺货潮,国内企业面临无“材”可用)

...大国向材料制造强国的转型。硅材料(SiliconWafer)作为当前半导体

抗蚀刻油墨的调配方法(半导体行业新材料深度报告:硅片、光刻胶、靶材、电子特气等)

...,无法全文展示,以下内容为精简内容。)报告综述:1.半导体晶圆制造产能向中国转移,国内半导体制造材料迎来发展机遇半导体制造材料包含硅片、光刻胶、光掩膜、溅射靶材、CMP抛光材料、湿化学品、电子特气、石英材料...

物流快递用的2吨带微(半导体设备)

核心增长逻辑:国产替代+晶圆厂扩产推动半导体设备成长,“打印机墨盒”增长逻辑双轮驱动半导体零部件市场。在国产替代加速的背景下,各大晶圆厂逆势高速扩产,产能持续积累,对半导体设备带来显著需求增长。与此同...

物流快递用的2吨带微(半导体设备)

核心增长逻辑:国产替代+晶圆厂扩产推动半导体设备成长,“打印机墨盒”增长逻辑双轮驱动半导体零部件市场。在国产替代加速的背景下,各大晶圆厂逆势高速扩产,产能持续积累,对半导体设备带来显著需求增长。与此同...

槽式洗矿机(半导体设备行业深度报告:国产突破正加速,迎来中长期投资机会)

获取报告请登录未来智库www.vzkoo.com。一、关键制程设备本土均有突破,晶圆产线建设驱动国产替代1.1本土晶圆制造环节能力逐步提升,大力布局存储/代工/特色工艺等领域芯片制造能力是实现国家集成电路乃至信息产业自主可控...

气体电路(半导体产业链之电子特种气体行业深度研究)

...)、太阳能电池等电子工业生产不可或缺的原材料。通常半导体生产行业,将气体划分成常用气体和特殊气体两类。其中,常用气体指集中供给而且使用非常多的气体,比如N2、H2、O2、Ar、He等。特种